Multiplexor i demultiplexor: tipus i llurs diferències

Proveu El Nostre Instrument Per Eliminar Problemes





En sistemes digitals a gran escala, es necessita una línia única per transmetre dos o més senyals digitals, i per descomptat. alhora, es pot col·locar un senyal en una línia. Però el que es requereix és un dispositiu que ens permeti seleccionar i, el senyal que desitgem col·locar en una línia comuna, aquest circuit es coneix com a multiplexor. La funció d’un multiplexor és seleccionar l’entrada de qualsevol línia d’entrada ‘n’ i alimentar-la a una línia de sortida. La funció d’un demultiplexor és invertir la funció del multiplexor. Les formes de drecera del multiplexor i desmultiplexors són mux i demux. Alguns multiplexors realitzen tots dos multiplexació i operacions de desmultiplexació. La funció principal del multiplexor és que combina senyals d’entrada, permet la compressió de dades i comparteix un sol canal de transmissió. Aquest article ofereix una visió general del multiplexor i del demultiplexor.

Què són el multiplexor i el demultiplexor?

A la xarxa transmissió , tant el multiplexor com el demultiplexor ho són circuits combinacionals . Un multiplexor selecciona una entrada de diverses entrades i després es transmet en forma d’una sola línia. Un nom alternatiu del multiplexor és MUX o selector de dades. Un desmultiplexor utilitza un senyal d'entrada i en genera molts. Per tant, es coneix com Demux o distribuïdor de dades.




Multiplexor i Demultiplexor

Multiplexor i Demultiplexor

Què és un multiplexor?

El multiplexor és un dispositiu que té diverses entrades i sortida de línia única. Les línies de selecció determinen quina entrada està connectada a la sortida i també augmenten la quantitat de dades que es poden enviar per una xarxa en un temps determinat. També s’anomena selector de dades.



El commutador multiposició monopolar és un exemple senzill de circuit no electrònic del multiplexor, i és àmpliament utilitzat en molts circuits electrònics . El multiplexor s’utilitza per realitzar commutacions d’alta velocitat i està construït per Components electrònics .

Multiplexor

Multiplexor

Els multiplexors són capaços de manejar tant analògics com aplicacions digitals . En aplicacions analògiques, els multiplexors estan formats per relés i commutadors de transistors, mentre que en aplicacions digitals, els multiplexors es fabriquen a partir d’estàndards. portes lògiques . Quan el multiplexor s’utilitza per a aplicacions digitals, s’anomena multiplexor digital.

Tipus de multiplexors

Els multiplexors es classifiquen en quatre tipus:


  • Multiplexor 2-1 (1 línia selecta)
  • Multiplexor 4-1 (2 línies selectes)
  • Multiplexor 8-1 (3 línies selectes)
  • Multiplexor 16-1 (4 línies selectes)

Multiplexor 4 a 1

El multiplexor 4X1 comprèn 4 bits d’entrada, 1 bit de sortida i 2 bits de control. Els quatre bits d'entrada són 0, D1, D2 i D3, respectivament, només es transmet un dels bits d'entrada a la sortida. L’o / p ‘q’ depèn del valor de l’entrada de control AB. El bit de control AB decideix quin dels bits de dades i / p ha de transmetre la sortida. La figura següent mostra el diagrama del circuit del multiplexor 4X1 mitjançant portes AND. Per exemple, quan els bits de control AB = 00, es permeten les portes AND més altes mentre es restringeixen les portes AND restants. Així, l’entrada de dades D0 es transmet a la sortida ‘q”

Mux 4X1

Mux 4X1

Si l'entrada de control es canvia a 11, totes les portes estan restringides, excepte la porta AND inferior. En aquest cas, D3 es transmet a la sortida i q = D0. Si l'entrada de control es canvia a AB = 11, totes les portes estan desactivades, excepte la porta AND inferior. En aquest cas, D3 es transmet a la sortida i q = D3. El millor exemple d'un multiplexor 4X1 és l'IC 74153. En aquesta IC, l'o / p és el mateix que l'i / p. Un altre exemple de multiplexor 4X1 és l'IC 45352. En aquest IC, l'o / p és el complement de l'i / p

Multiplexor de 8 a 1

El multiplexor de 8 a 1 consta de 8 línies d’entrada, una de sortida i 3 línies de selecció.

Mux de 8 a 1

Mux de 8 a 1

8-1 Circuit multiplexor

Per a la combinació d'una entrada de selecció, la línia de dades està connectada a la línia de sortida. El circuit que es mostra a continuació és un multiplexor de 8 * 1. El multiplexor de 8 a 1 requereix 8 portes AND, una porta OR o 3 línies de selecció. Com a entrada, la combinació d’entrades de selecció dóna a la porta AND amb les línies de dades d’entrada corresponents.

De manera similar, totes les portes AND tenen connexió. En aquest multiplexor de 8 * 1, per a qualsevol entrada de línia de selecció, una porta AND dóna un valor d'1 i la resta de portes AND dóna 0. I, finalment, mitjançant l'ús de portes OR, s'afegeixen totes les portes AND i, això serà igual al valor seleccionat.

Circuit Mux de 8 a 1

Circuit Mux de 8 a 1

Avantatges i desavantatges del multiplexor

El avantatges del multiplexor inclou el següent.

  • En el multiplexor, es pot reduir l'ús de diversos cables
  • Redueix el cost i la complexitat del circuit
  • La implementació d'un nombre de circuits combinats pot ser possible mitjançant l'ús d'un multiplexor
  • Mux no requereix simplificació i mapes K
  • El multiplexor pot fer que el circuit de transmissió sigui menys complex i econòmic
  • La dissipació de la calor és menor a causa del corrent de commutació analògic que oscil·la entre els 10mA i els 20mA.
  • La capacitat del multiplexor es pot ampliar per canviar senyals d'àudio, senyals de vídeo, etc.
  • La fiabilitat del sistema digital es pot millorar utilitzant un MUX, ja que disminueix el nombre de connexions cablejades exteriors.
  • MUX s’utilitza per implementar diversos circuits combinacionals
  • El disseny lògic es pot simplificar mitjançant MUX

El desavantatges del multiplexor inclou el següent.

  • Es necessiten retards addicionals als ports de commutació i als senyals d'E / S que es propaguen pel multiplexor.
  • Els ports que es poden utilitzar al mateix temps tenen limitacions
  • Els ports de commutació es poden gestionar afegint la complexitat del firmware
  • El control del multiplexor es pot fer mitjançant l'ús de ports d'E / S addicionals.

Aplicacions de multiplexors

Els multiplexors s’utilitzen en diverses aplicacions en què cal transmetre dades múltiples mitjançant una única línia.

Sistema de Comunicació

A sistema de comunicació té una xarxa de comunicació i un sistema de transmissió. En utilitzar un multiplexor, el fitxer eficiència del sistema de comunicació es pot augmentar permetent la transmissió de dades, com ara dades d'àudio i vídeo des de diferents canals a través de línies individuals o cables.

Memòria de l'ordinador

Els multiplexors s’utilitzen a la memòria de l’ordinador per mantenir una gran quantitat de memòria als ordinadors i també per reduir el nombre de línies de coure necessàries per connectar la memòria a altres parts de l’ordinador.

Xarxa telefònica

A les xarxes telefòniques, diversos senyals d'àudio s'integren en una sola línia de transmissió amb l'ajut d'un multiplexor.

Transmissió des del sistema informàtic d’un satèl·lit

El multiplexor s’utilitza per transmetre els senyals de dades des del sistema informàtic d’una nau espacial o d’un satèl·lit al sistema terrestre mitjançant un satèl·lit GSM .

Què és Demultiplexer?

El desmultiplexor també és un dispositiu amb una entrada i diverses línies de sortida. S'utilitza per enviar un senyal a un dels molts dispositius. La principal diferència entre un multiplexor i un desmultiplexor és que un multiplexor pren dos o més senyals i els codifica en un cable, mentre que un desmultiplexor inverteix el que fa el multiplexor.

Desmultiplexador

Desmultiplexador

Tipus de desmultiplexador

Els demultiplexors es classifiquen en quatre tipus

  • Demultiplexor 1-2 (1 línia de selecció)
  • 1-4 demultiplexor (2 línies selectes)
  • 1-8 desmultiplexor (3 línies selectes)
  • Demultiplexor 1-16 (4 línies selectes)

1-4 Demultiplexador

El desmultiplexor d'1 a 4 inclou 1 bit d'entrada, 4 bits de sortida i bits de control. A continuació es mostra el diagrama del circuit de demultiplexor 1X4.

1X4 Demux

1X4 Demux

El bit i / p es considera Data D. Aquest bit de dades es transmet al bit de dades de les línies o / p, que depèn del valor AB i del control i / p.

Quan el control i / p AB = 01, es permet la segona porta AND superior mentre es restringeixen les portes AND restants. Per tant, només el bit de dades D es transmet a la sortida i Y1 = Dades.

Si el bit de dades D és baix, la sortida Y1 és baixa. SI el bit de dades D és alt, la sortida Y1 és alta. El valor de la sortida Y1 depèn del valor del bit de dades D, les sortides restants es troben en un estat baix.

Si l'entrada de control canvia a AB = 10, totes les portes estan restringides, excepte la tercera porta AND de la part superior. Aleshores, el bit de dades D només es transmet a la sortida Y2 i, Y2 = Dades. . El millor exemple de desmultiplexor 1X4 és IC 74155.

1-8 Demultiplexador

El demultiplexor també s’anomena distribuïdor de dades, ja que requereix una entrada, 3 línies seleccionades i 8 sortides. El desmultiplexor pren una única línia de dades d'entrada i després la canvia a qualsevol de les línies de sortida. A continuació es mostra el diagrama del circuit de demultiplexor d’1 a 8 que utilitza 8 portes AND per aconseguir l’operació.

1-8 Circuit de demux

1-8 Circuit de demux

El bit d’entrada es considera com a dades D i es transmet a les línies de sortida. Això depèn del valor d'entrada de control de l'AB. Quan AB = 01, la segona porta superior F1 està habilitada, mentre que les portes AND restants estan desactivades i el bit de dades es transmet a la sortida donant F1 = dades. Si D és baixa, la F1 és baixa i, si D és alta, la F1 és alta. Per tant, el valor de la F1 depèn del valor de D i les sortides restants es troben en estat baix.

Avantatges i desavantatges del Demultiplexer

El avantatges del demultiplexe r inclou el següent.

  • Un demultiplexor o Demux s’utilitza per dividir els senyals mutus en fluxos separats.
  • La funció de Demux és força oposada a MUX.
  • La transmissió de senyals d’àudio o vídeo necessita una combinació de Mux i Demux.
  • Demux s’utilitza com a descodificador dins dels sistemes de seguretat dels sectors bancaris.
  • L'eficiència del sistema de comunicació es pot millorar mitjançant la combinació de Mux i Demux.

El desavantatges del desmultiplexor inclou el següent.

  • És possible que es produeixi un malbaratament de l’amplada de banda
  • A causa de la sincronització dels senyals, és possible que es produeixin retards

Aplicacions del Demultiplexer

Els demultiplexors s’utilitzen per connectar una font única a diverses destinacions. Aquestes aplicacions inclouen el següent:

Sistema de Comunicació

Mux i demux s’utilitzen en sistemes de comunicació per dur a terme el procés de transmissió de dades. Un desmultiplexor rep els senyals de sortida del multiplexor i, al final del receptor, els converteix de nou a la forma original.

Unitat lògica aritmètica

La sortida de l'ALU s'alimenta com a entrada al desmultiplexor i la sortida del demultiplexor està connectada a diversos registres. La sortida de l'ALU es pot emmagatzemar en diversos registres.

Convertidor de sèrie a paral·lel

Aquest convertidor s’utilitza per reconstruir dades paral·leles. En aquesta tècnica, les dades en sèrie es donen com a entrada al desmultiplexor a un interval regular, i s’uneix un comptador al demultiplexor a l’entrada de control per detectar el senyal de dades a la sortida del demultiplexor. Quan s’emmagatzemen tots els senyals de dades, la sortida del demux es pot llegir en paral·lel.

Diferència entre multiplexor i demultiplexor

A continuació es discuteix la principal diferència entre multiplexor i demultiplexor.

Multiplexor Desmultiplexador
Un multiplexor (Mux) és un circuit combinacional que utilitza diverses entrades de dades per generar una única sortida.Un demultiplexor (Demux) també és un circuit combinacional que utilitza una única entrada que es pot dirigir a través de diverses sortides.
El multiplexor inclou diverses entrades i la sortida únicaEl desmultiplexor inclou una única entrada i diverses sortides
Un multiplexor és un selector de dadesEl desmultiplexor és un distribuïdor de dades
És un commutador digitalÉs un circuit digital
Funciona sobre el principi de molts a unFunciona sobre el principi d'un a molts
El paral·lel a la conversió en sèrie s’utilitza al multiplexorLa conversió de sèrie a paral·lel s’utilitza a Demultiplexer
El multiplexor utilitzat en TDM (Time Division Multiplexing) es troba al final del transmissorEl desmultiplexor utilitzat a TDM (Time Division Multiplexing es troba al final del receptor)
El multiplexor s’anomena MUXEl demultiplexor es diu Demux
No utilitza cap porta addicional durant el dissenyEn això, es necessiten portes addicionals durant el disseny de la demux
A Multiplexer, els senyals de control s’utilitzen per triar l’entrada específica que s’ha d’enviar a la sortida.Demultiplexer utilitza el senyal de control per permetre’ns incloure diverses sortides.
El multiplexor s’utilitza per millorar l’eficiència del sistema de comunicació mitjançant la transmissió de dades com la transmissió d’àudio i vídeo.El demultiplexor obté els senyals o / p del Mux i els ha canviat a la forma única al final del receptor.
Els diferents tipus de multiplexors són 8-1 MUX, 16-1 MUX i 32-1 MUX.Els diferents tipus de demultiplexors són 1-8 Demux, 1-16 Demux, 1-32 Demux.
Al multiplexor, el conjunt de línies de selecció s’utilitza per controlar l’entrada específicaAl demultiplexor, la selecció de la línia de sortida es pot controlar mitjançant valors de bits de línies de selecció n.

Diferència clau entre multiplexor i demultiplexor

A continuació es discuteixen les diferències clau entre multiplexor i demultiplexor.

  • Els circuits lògics combinacionals com el multiplexor i el demultiplexor s'utilitzen dins dels sistemes de comunicació, però la seva funció és exactament oposada entre si, ja que un treballa en diverses entrades mentre que l'altre treballa només en entrada.
  • El multiplexor o Mux és un dispositiu N-a-1 mentre que el demultiplexador és un dispositiu 1 a N.
  • Un multiplexor s’utilitza per convertir diversos senyals analògics o digitals en un sol senyal o / p a través de diferents línies de control. Aquestes línies de control es poden determinar utilitzant aquesta fórmula com 2n = r on ‘r’ és el número de senyals i / p i ‘n’ és el número de línies de control requerides.
  • El mètode de conversió de dades utilitzat a MUX és paral·lel al serial i no és difícil d’entendre perquè utilitza entrades diferents. Tanmateix, DEMUX funciona de manera inversa a MUX com una conversió de sèrie a paral·lel. Per tant, es pot aconseguir el nombre de resultats en aquest cas.
  • S’utilitza un desmultiplexor per convertir un senyal i / p en diversos. El nombre de senyals de control es pot determinar utilitzant la mateixa fórmula de MUX.
  • Tant Mux com Demux s’utilitzen per transmetre les dades a través d’una xarxa amb menys amplada de banda. Però el multiplexor s’utilitza a l’extrem del transmissor mentre que el Demux s’utilitza a l’extrem del receptor.

Aquesta és la informació bàsica sobre multiplexors i desmultiplexors. Espero que pugueu tenir alguns conceptes fonamentals sobre aquest tema observant els circuits lògics i les seves aplicacions. Podeu escriure les vostres opinions sobre aquest tema a la secció de comentaris següent.

Crèdits fotogràfics