Què és el generador de paritat i el verificador de paritat: tipus i els seus diagrames lògics

Proveu El Nostre Instrument Per Eliminar Problemes





La funció principal del generador de paritat i el control de paritat és detectar errors en la transmissió de dades i aquest concepte es va introduir el 1922. A la tecnologia RAID s’utilitza el bit de paritat i el control de paritat per protegir-se de la pèrdua de dades. El bit de paritat és un bit extra que s’estableix al costat de la transmissió com a ‘0’ o ‘1’, s’utilitza per detectar només un error de bit únic i és el mètode més senzill per detectar errors. Hi ha diferents tipus de codis de detecció d’errors que s’utilitzen per detectar els errors: paritat, comptador d’anells, codi de paritat de blocs, codi de Hamming, biquinar, etc. La breu explicació sobre el bit de paritat, la paritat generador i checker s’expliquen a continuació.

Què és Parity Bit?

Definició: El bit de paritat o bit de comprovació són els bits afegits al codi binari per comprovar si el codi en particular està en paritat o no, per exemple, si el codi està en paritat parella o paritat senar, es comprova mitjançant aquest bit de verificació o bit de paritat. La paritat no és res més que el nombre d’1 i hi ha dos tipus de bits de paritat que són bits parells i imparells.




En bit de paritat senar, el codi ha de tenir un nombre senar d'1, per exemple, prenem el codi de 5 bits 100011, es diu que aquest codi és paritat senar perquè hi ha tres nombres d'1 al codi que hem pres . En bit de paritat parell, el codi ha de tenir un número parell d'1, per exemple, prenem el codi de 6 bits 101101, es diu que aquest codi és paritat parell, ja que hi ha quatre números d'1 al codi que hem pres

Què és el generador de paritat?

Definició: El generador de paritat és un circuit combinat al transmissor, pren un missatge original com a entrada i genera el bit de paritat per a aquest missatge i el transmissor d’aquest generador transmet missatges juntament amb el seu bit de paritat.



Tipus de generador de paritat

La classificació d’aquest generador es mostra a la figura següent

Generador de tipus de paritat

generador de tipus de paritat

Fins i tot Parity Generator

El generador de paritat parell manté les dades binàries en un nombre parell d'1, per exemple, les dades preses són en nombre senar d'1, aquest generador de paritat parell mantindrà les dades com a nombre parell d'1 afegint l'1 extra al senar nombre d'1. Aquest també és un circuit combinacional la sortida del qual depèn de les dades d'entrada donades, el que significa que les dades d'entrada són dades binàries o codi binari donat per al generador de paritat.


Considerem tres dades binàries d’entrada, que es consideren tres bits com A, B i C. Podem escriure 23combinacions que utilitzen les tres dades binàries d’entrada que són de 000 a 111 (0 a 7), s’obtindran vuit combinacions en total a partir de les tres dades binàries d’entrada donades que hem considerat. A continuació es mostra la taula de veritat del generador de paritat parell per a tres dades binàries d’entrada.

0 0 0 - En aquest codi binari d’entrada, la paritat parella es pren com a ‘0’ perquè l’entrada ja està en paritat parella, de manera que no cal afegir una paritat parella una vegada més per a aquesta entrada.

0 0 1 - - En aquest codi binari d’entrada només hi ha un número únic de ‘1’ i aquest número únic de ‘1’ és un nombre senar de ‘1’. Si hi ha un nombre senar de ‘1’, aleshores el generador de paritat ha de generar un altre ‘1’ per convertir-lo en paritat parella, de manera que la paritat parella es pren com a 1 per convertir el codi 0 0 1 en paritat parella.

0 1 0 - Aquest bit té una paritat imparella, de manera que la paritat parella es pren com a 1 per convertir el codi 0 1 0 en paritat parella.

0 1 1 - Aquest bit ja està en paritat parella, de manera que la paritat uniforme es pren com a 0 per convertir el codi 0 1 1 en paritat parella.

1 0 0 - Aquest bit té una paritat imparella, de manera que la paritat parella es pren com a 1 per convertir el codi 1 0 0 en paritat parella.

1 0 1 - Aquest bit ja està en paritat parella, de manera que la paritat uniforme es pren com a 0 per convertir el codi 1 0 1 en paritat parella.

1 1 0 - Aquest bit també té una paritat parella, de manera que la paritat uniforme es pren com a 0 per convertir el codi 1 1 0 en paritat parella.

1 1 1 - Aquest bit té una paritat imparella, de manera que la paritat parella es pren com 1 per convertir el codi 1 1 1 en paritat parell.

Fins i tot Parity Generator Taula de veritat

A B C Fins i tot Paritat
0 0 00
0 0 11
0 1 01
0 1 10
1 0 01
1 0 10
1 1 00
1 1 11

La simplificació del mapa karnaugh (mapa k) per a l'entrada de tres bits és paritat

K-Map-For-Even-Parity-Generator

k-map-for-parity-generator-generator

Des de la taula de veritat de paritat fins i tot anterior, l'expressió simplificada de bit de paritat s'escriu com

L'expressió de paritat parell implementada mitjançant l'ús de dues portes Ex-OR i el diagrama lògic d'aquesta paritat parella mitjançant l'ex-OR porta lògica es mostra a continuació.

Circuit lògic-paritat

circuit-lògic-paritat-paritari

D’aquesta manera, el generador paritari genera un nombre parell d’1 prenent les dades d’entrada.

Odd Parity Generator

El generador de paritat senar manté les dades binàries en un nombre senar d’1, per exemple, les dades preses es troben en un nombre parell d’1, aquest generador de paritat imparell mantindrà les dades com un nombre senar d’1 afegint l’1 extra a el nombre parell d'1. Aquest és el circuit combinacional la sortida del qual sempre depèn de les dades d'entrada donades. Si hi ha un nombre parell d’1, només s’afegeix un bit de paritat per convertir el codi binari en un nombre senar d’1.

Considerem tres dades binàries d’entrada, que es consideren tres bits com A, B i C. La taula de veritat del generador de paritat senar per a tres dades binàries d’entrada es mostra a continuació.

0 0 0 - En aquest codi binari d’entrada, la paritat senar es pren com a ‘1’ perquè l’entrada està en paritat parella.

0 0 1 - Aquesta entrada binària ja té una paritat imparella, de manera que la paritat senar es pren com a 0.

0 1 0 - Aquesta entrada binària també es troba en paritat senar, de manera que la paritat senar es pren com a 0.

0 1 1 - Aquest bit està en paritat parella, de manera que la paritat senar es pren com 1 per convertir el codi 0 1 1 en paritat senar.

1 0 0 - Aquest bit ja té una paritat senar, de manera que la paritat senar es pren com a 0 per convertir el codi 1 0 0 en paritat senar.

1 0 1 - Aquest bit d’entrada està en paritat parella, de manera que la paritat senar es pren com a 1 per convertir el codi 1 0 1 en paritat senar.

1 1 0 - Aquest bit està en paritat parella, de manera que la paritat senar es pren com a 1.

1 1 1 - Aquest bit d’entrada està en paritat estranya, de manera que la paritat senar es pren com o.

Taula de veritat del generador de paritats estranyes

A B C Paritat estranya
0 0 01
0 0 10
0 1 00
0 1 11
1 0 00
1 0 11
1 1 01
1 1 10

La simplificació del mapa Kavanaugh (mapa k) per a la paritat senar d’entrada de tres bits és

K-Map-For-Odd-Parity-Generator

k-map-per-generador-de-paritat senar

A partir de la taula de veritat de paritat senar anterior, l’expressió simplificada de bit de paritat s’escriu com

A continuació es mostra el diagrama lògic d’aquest generador de paritat estrany.

Lògica-Circuit

circuit lògic

D’aquesta manera, el generador de paritat senar genera un nombre senar d’1 prenent les dades d’entrada.

Què és el Parity Check?

Definició: El circuit combinacional al receptor és el control de paritat. Aquest comprovador pren com a entrada el missatge rebut, inclòs el bit de paritat. Dona la sortida '1' si es troba algun error i dóna la sortida '0' si no es troba cap error al missatge, inclòs el bit de paritat.

Tipus de Parity Checker

La classificació del corrector de paritat es mostra a la figura següent

tipus-de-paritat-corrector

tipus-de-paritat-corrector

Fins i tot Parity Checker

En el parell de verificació paritari, si el bit d’error (E) és igual a ‘1’, tenim un error. Si el bit d'error E = 0 indica que no hi ha cap error.

Bit d'error (E) = 1, es produeix un error

Bit d'error (E) = 0, sense error

El circuit de verificació de la paritat es mostra a la figura següent

Lògica-Circuit

circuit lògic

Odd Parity Checker

Al comprovador de paritat estrany, si un bit d’error (E) és igual a ‘1’, indica que no hi ha cap error. Si un bit d'error E = 0 indica que hi ha un error.

Bit d'error (E) = 1, sense error

Bit d'error (E) = 0, es produeix un error

El comprovador de paritat no podrà detectar si hi ha errors en més de ‘1’ bit i la correcció de dades tampoc no és possible, aquests són els principals desavantatges del comprovador de paritat.

Generador / comprovador de paritat mitjançant IC

L'IC 74180 fa la funció de generació de paritat i de comprovació. El generador / comprovador de paritat de 9 bits (8 bits de dades, 1 bit de paritat) es mostra a la figura següent.

IC-74180

ic-74180

L'IC 74180 conté vuit bits de dades (X0fins a X7), Vcc,entrada parell, entrada senar, sortida set, sortida senar S i pin de terra.

Si les entrades parells i senars donades són altes (H), les dues sortides parelles i senars són baixes (L), de manera similar, si les entrades donades són baixes (L), les sortides parelles i senars es converteixen en altes ( H).

Avantatges de la paritat

Els avantatges de la paritat són

  • Senzillesa
  • Fàcil d'usar

Aplicacions de Paritat

Les aplicacions de la paritat són

  • En sistemes digitals i moltes aplicacions de maquinari, s'utilitza aquesta paritat
  • El bit de paritat també s’utilitza a Small Computer System Interface (SCSI) i també a Peripheral Component Interconnect (PCI) per detectar els errors

Preguntes freqüents

1). Quina diferència hi ha entre el generador de paritat i el verificador de paritat?

El generador de paritat genera el bit de paritat al transmissor i el comprovador de paritat verifica el bit de paritat al receptor.

2). Què significa cap paritat?

Quan els bits de paritat no s’utilitzen per comprovar si hi ha errors, es diu que el bit de paritat és no paritat o no, o l’absència de paritat.

3). Quin és el valor de la paritat?

El concepte de valor paritari utilitzat tant per a mercaderies com per a valors i el terme fa referència quan el valor dels dos actius és igual.

4). Per què necessitem un verificador de paritat?

El comprovador de paritat és necessari per detectar els errors en la comunicació i també es fa servir el comprovador de paritat en els dispositius d'emmagatzematge de memòria.

5). Com pot detectar el bit de paritat una unitat de dades danyada?

El bit redundant en aquesta tècnica s’anomena bit de paritat, detecta la unitat de dades danyada quan es produeix un error durant la transmissió de dades.

En aquest article, com es pot paritat generador i comprovador generen i comproven el bit i es descriuen breument els seus tipus, circuits lògics, taules de veritat i expressions k-map. Aquí teniu una pregunta, com calculeu la paritat parella i senar?